Johnny Kitagawa: Hundreds seek compensation over J-pop agency founder’s abuse

Johnny KitagawaGetty Images

More than 300 people are seeking compensation for being sexually abused by Japan’s top talent agent, the late Johnny Kitagawa, his agency says.

Allegations against Kitagawa, who died in 2019, came into prominence again after a BBC documentary inspired more victims to come forward.

In September, an independent inquiry concluded that the music mogul had abused hundreds of boys and young men over a six-decade career.

It also urged compensation for victims.

The Johnny & Associates agency on Monday revealed 478 people had responded to a website it had set up for recompense- 325 of whom were seeking compensation as victims. The agency said 150 of these people were former talents.

The pop agency renamed itself -SMILE-UP- and said the newly named entity would deal solely with identifying and compensating victims. A new company will be created to manage the talent.

The agency has said it will sort determine financial pay-outs for each victim on a case-by-case basis.

Earlier this year the agency had apologised to victims and following the inquiry’s findings, the then chief executive Julie Fujishima – Kitagawa’s niece- stepped down.

She has been replaced by Noriyuki Higashiyama, a television star and singer in Japan who has also been accused of sexual misconduct – allegations he denied on Monday.

“I have never sexually harassed anyone. Some people may have felt I was power-harassing them, but it was 35-40 years ago, and I probably wouldn’t have been able to understand what sexual abuse is,” he said.

Upon taking the leadership mantle in early September, Higashiyama said the agency was not sure if it would depart from the Johnnys name.

The restructure is believed to be an attempt to win back public acceptance – major Japanese companies such as Nissan, Asahi and Suntory dropped the agency’s talent after the inquiry.

The Kitagawa scandal in Japan has drawn comparisons with Hollywood mogul Harvey Weinstein who was convicted of rape and sexual assault.

However Kitagawa never faced criminal charges and he remained a revered figure until his death at age 87 in 2019 – with his passing also drawing public condolences from Japan’s prime minister at the time.

The J-pop industry titan was seen as one of the richest and most powerful men in Japan. For decades, he was responsible for launching the country’s most famous boybands and artists.

His abuse was also considered an open secret in Japan’s music industry. He faced allegations for much of his career and some cases against him were even proven in civil court- however he also successfully sued for defamation over those reports and always denied wrongdoing.

Most mainstream Japanese media also did not cover the allegations for decades, prompting accusations of an industry cover-up.

Then in March, the BBC’s documentary Predator: The Secret Scandal of J-Pop which detailed Kitagawa’s abuse was aired, sparking national discussion and calls for a full investigation.

Several victims told the BBC they thought their careers would be harmed if they did not comply with Kitagawa’s sexual demands.

The broadcast led to more victims coming forward – including a former J-pop idol Kauan Okamato- who deliberately held his press conference the at Foreign Correspondents Club in Tokyo for international media. He revealed he had been sexually abused by Kitagawa for four years, from the age of 15.

He and several other victims spoke out after the resignation of Ms Fujishima last month. Her resignation also marked the agency’s first public acknowledgement of Kitagawa’s crimes.

One man who identified as a victim spoke at a press conference held by the Johnny’s Sexual Assault Victims’ Association.

“I’ve learned that if you decide to act, you can change things. We don’t have to walk looking down – we can look forward,” he said.

Related Topics

Continue Reading

AI’s coming threat to global electoral democracy

Elections around the world are facing an evolving threat from foreign actors, one that involves artificial intelligence.

Countries trying to influence each other’s elections entered a new era in 2016, when the Russians launched a series of social media disinformation campaigns targeting the US presidential election.

Over the next seven years, a number of countries – most prominently China and Iran – used social media to influence foreign elections, both in the US and elsewhere in the world. There’s no reason to expect 2023 and 2024 to be any different.

But there is a new element: generative AI and large language models. These have the ability to quickly and easily produce endless reams of text on any topic in any tone from any perspective. As a security expert, I believe it’s a tool uniquely suited to internet-era propaganda.

This is all very new. ChatGPT was introduced in November 2022. The more powerful GPT-4 was released in March 2023. Other language and image production AIs are around the same age. It’s not clear how these technologies will change disinformation, how effective they will be or what effects they will have. But we are about to find out.

Conjunction of elections

Election season will soon be in full swing in much of the democratic world. Seventy-one percent of people living in democracies will vote in a national election between now and the end of next year.

Among them: Argentina and Poland in October, Taiwan in January, Indonesia in February, India in April, the European Union and Mexico in June and the US in November. Nine African democracies, including South Africa, will have elections in 2024. Australia and the UK don’t have fixed dates, but elections are likely to occur in 2024.

Many of those elections matter a lot to the countries that have run social media influence operations in the past. China cares a great deal about Taiwan, Indonesia, India and many African countries. Russia cares about the UK, Poland, Germany and the EU in general. Everyone cares about the US.

YouTube video

[embedded content]

AI image, text and video generators are already beginning to inject disinformation into elections.

And that’s only considering the largest players. Every US national election from 2016 has brought with it an additional country attempting to influence the outcome. First it was just Russia, then Russia and China, and most recently those two plus Iran.

As the financial cost of foreign influence decreases, more countries can get in on the action. Tools like ChatGPT significantly reduce the price of producing and distributing propaganda, bringing that capability within the budget of many more countries.

Election interference

A couple of months ago, I attended a conference with representatives from all of the cybersecurity agencies in the US. They talked about their expectations regarding election interference in 2024. They expected the usual players – Russia, China and Iran – and a significant new one: “domestic actors.” That is a direct result of this reduced cost.

Of course, there’s a lot more to running a disinformation campaign than generating content. The hard part is distribution. A propagandist needs a series of fake accounts on which to post, and others to boost it into the mainstream where it can go viral. Companies like Meta have gotten much better at identifying these accounts and taking them down.

Just last month, Meta announced that it had removed 7,704 Facebook accounts, 954 Facebook pages, 15 Facebook groups and 15 Instagram accounts associated with a Chinese influence campaign, and identified hundreds more accounts on TikTok, X (formerly Twitter), LiveJournal and Blogspot. But that was a campaign that began four years ago, producing pre-AI disinformation.

Disinformation is an arms race. Both the attackers and defenders have improved, but also the world of social media is different. Four years ago, Twitter was a direct line to the media, and propaganda on that platform was a way to tilt the political narrative.

A Columbia Journalism Review study found that most major news outlets used Russian tweets as sources for partisan opinion. That Twitter, with virtually every news editor reading it and everyone who was anyone posting there, is no more.

Many propaganda outlets moved from Facebook to messaging platforms such as Telegram and WhatsApp, which makes them harder to identify and remove. TikTok is a newer platform that is controlled by China and more suitable for short, provocative videos – ones that AI makes much easier to produce. And the current crop of generative AIs is being connected to tools that will make content distribution easier as well.

Generative AI tools also allow for new techniques of production and distribution, such as low-level propaganda at scale. Imagine a new AI-powered personal account on social media. For the most part, it behaves normally. It posts about its fake everyday life, joins interest groups and comments on others’ posts, and generally behaves like a normal user.

And once in a while, not very often, it says – or amplifies – something political. These persona bots, as computer scientist Latanya Sweeney calls them, have negligible influence on their own. But replicated by the thousands or millions, they would have a lot more.

Disinformation on AI steroids

That’s just one scenario. The military officers in Russia, China and elsewhere in charge of election interference are likely to have their best people thinking of others. And their tactics are likely to be much more sophisticated than they were in 2016.

Countries like Russia and China have a history of testing both cyberattacks and information operations on smaller countries before rolling them out at scale. When that happens, it’s important to be able to fingerprint these tactics.

Countering new disinformation campaigns requires being able to recognize them, and recognizing them requires looking for and cataloging them now.

YouTube video

[embedded content]

Even before the rise of generative AI, Russian disinformation campaigns have made sophisticated use of social media.

In the computer security world, researchers recognize that sharing methods of attack and their effectiveness is the only way to build strong defensive systems. The same kind of thinking also applies to these information campaigns: The more that researchers study what techniques are being employed in distant countries, the better they can defend their own countries.

Disinformation campaigns in the AI era are likely to be much more sophisticated than they were in 2016. The US needs to have efforts in place to fingerprint and identify AI-produced propaganda in Taiwan, where a presidential candidate claims a deepfake audio recording has defamed him, and other places. Otherwise, we’re not going to see them when they arrive here. Unfortunately, researchers are instead being targeted and harassed.

Maybe this will all turn out OK. There have been some important democratic elections in the generative AI era with no significant disinformation issues: primaries in Argentina, first-round elections in Ecuador and national elections in Thailand, Turkey, Spain and Greece. But the sooner we know what to expect, the better we can deal with what comes.

Bruce Schneier is Adjunct Lecturer in Public Policy, Harvard Kennedy School

This article is republished from The Conversation under a Creative Commons license. Read the original article.

Continue Reading

Parliament to hear three ministerial statements on Singapore’s anti-money laundering efforts

SINGAPORE: The recent billion-dollar money laundering case will be addressed in three ministerial statements at the next Parliament sitting on Tuesday (Oct 3). According to the order paper released on Monday, Second Minister for Home Affairs Josephine Teo, Minister of State for Trade and Industry Alvin Tan, and Second MinisterContinue Reading

Gobi Partners invests undisclosed amount into agritech BoomGrow

Pre-Series A made via Khazanah-backed Gobi Dana Impak Ventures fund
Uses repurposed shipping containers located in situ, growing vegetables

Asia-focused venture capital firm, Gobi Partners is doubling down on its commitment to Environment, Social and Governance (ESG)-friendly investments through its latest funding, for an undisclosed amount, into Malaysian AgriTech startup, BoomGrow’s Pre-Series A…Continue Reading

Indonesia launches Southeast Asia’s first high-speed rail

Widodo said the 600-capacity train was the first high-speed rail transportation in Southeast Asia. It is part of Beijing’s Belt and Road initiative – a decade-old programme of China-backed infrastructure projects. The president said the name was actually an acronym, standing for a tagline of “Waktu Hemat, Operasi Optimal, SistemContinue Reading

China Belt and Road: Indonesia opens Whoosh high-speed railway

Indonesia's launch of its China-backed high-speed railway will be a first of its kind in South East AsiaGetty Images

Indonesia has inaugurated its first high-speed railway, a $7.3bn (£5.9) project backed by China under its Belt and Road Initiative.

President Joko Widodo launched the service, which connects the capital Jakarta to Bandung, a top economic hub.

The railway is named Whoosh, a Bahasa Indonesia acronym that translates to time-saving and reliable.

Mr Widodo has prioritised projects like Whoosh to ease the country’s severe traffic jams.

The railway was originally scheduled to open in 2019 but was delayed due to land disputes, the Covid-19 pandemic and a $1.2bn (£984m) budget overrun.

Monday’s inauguration was pushed back from Sunday to accommodate Mr Jokowi’s schedule.

Whoosh is operated by PT KCIC, a joint-venture made up of four Indonesian state companies and Beijing’s China Railway International.

Its name is short for “Waktu Hemat, Operasi Optimal, Sistem Handal”, or “Timesaving, Optimal Operation, Reliable System” in the local language. It can reach speeds of up to 350km/h (217mph) with the journey spanning 142km.

Indonesian officials say the high-speed railway is expected to improve economic productivity. They also tout the fact that the trains are powered by electricity, which will help reduce the country’s carbon emissions.

Bandung, the capital of West Java province, is touted as Indonesia’s answer to Silicon Valley.

There are talks to extend Woosh to Surabaya, a major port city and capital of East Java province.

Some critics say the sheer cost of the project may weigh on Indonesia’s public finances, which are already strained by the pandemic. Mr Jokowi agreed to use state funds to help the project overcome delays.

The project is part of Chinese President Xi Jinping’s 10-year-old Belt and Road initiative, an ambitious plan to connect Asia with Africa and Europe through a series of land and sea networks via investments in local infrastructure.

Indonesia, South-east Asia’s largest economy, has been actively seeking investments from China, its largest trade partner.

This video can not be played

To play this video you need to enable JavaScript in your browser.

Related Topics

Continue Reading

Intel upping chip game in market-leading ways

Intel has announced new chip technologies that promise to make it a more formidable future competitor of Taiwan’s TSMC and South Korea’s Samsung Electronics and that put the 7nm processor built by China’s SMIC for Huawei’s new 5G smartphone in a new perspective.

In a keynote address at the Intel Innovation 2023 event that opened in San Jose on September 19, CEO Pat Gelsinger said the company’s 2nm process (20A, or 20 angstroms, which equals 2 nanometers) will be production-ready in the first half of 2024 and its 18A process in the second half of the same year.

Intel’s 18A silicon should go into the fab in the first quarter of next year, leading to high-volume production in 2025.

If all goes according to plan, it would mark the success of Intel’s “5 Nodes in 4 Years” catch-up strategy announced by Gelsinger in 2021. TSMC and Samsung Electronics have 3nm processes in production now and plan to launch 2nm in 2025.

Intel’s “Beyond 5 Nodes in 4 Years” Roadmap:

Intel 7: Now in high-volume manufacturing

Intel 4: Now ramping up production

Intel 3: 2H 2023 – manufacturing ready, sampling

Intel 20A: 1H 2024 – on track for manufacturing

Intel 18A – 2H 2024 – on track for manufacturing

Intel has its own process roadmap terminology, which can be confusing. The XDA Developers tech news portal explains:

“For reference, Intel 7 is what the company names its 10nm process, and Intel 4 is what it names its 7nm process. Where the names come from (even though one could argue that they’re misleading) is that Intel 7 has a very similar transistor density to TSMC’s 7nm, despite Intel 7 being built on a 10nm process. The same goes for Intel 4… [which is] the first of Intel’s fabrication processes to make use of extreme ultraviolet [EUV] lithography… With that said, where things become very interesting is with 20A and 18A. 20A (the company’s 2nm process) is said to be where Intel will reach “process parity.”

Tom’s Hardware fills in the gap with this comment: “Intel 3 (previously known as 5nm)… uses extreme ultraviolet [EUV] lithography and is generally a refined Intel 4 production node (previously known as 7nm). Compared to Intel 4, Intel 3 promises an 18% higher performance per watt efficiency, denser high-performance library, reduced via resistance, and increased intrinsic drive current.”

Intel 3 process technology will be used in the volume production of the new data center and server processors at Granite Rapids and Sierra Forest scheduled for next year.

In comparison, the 7nm Kirin 9000 processor used in Huawei’s Mate 60 Pro smartphone was fabricated by SMIC, China’s leading foundry, using a 7nm process with DUV (deep ultraviolet) ArF immersion lithography.

Huawei’s Mate60 Pro uses HiSilicon chips. Photo: Sohu.com

The export of more advanced EUV lithography to China is banned under US sanctions, so this was the only solution available for the company.

US government officials and other commentators were surprised that this could be done, but industry specialists were not. With time, SMIC will probably be able to implement a 5nm process using the same equipment but that will be the limit.

On September 20, Intel announced the first use of EUV lithography systems in high-volume manufacturing in Europe at its new fab in Ireland. The machines will support Intel 4 starting this year and Intel 3 starting in 2024.

ASML’s next-generation High Numerical Aperture (High-NA) EUV systems will be used at the 18A process node. At Intel Innovation 2023, Gelsinger said the first of these machines will arrive at the company’s facility in Oregon in time for Christmas.

According to ASML, “That machine, the 0.55 numerical aperture (NA) Twinscan EXE:5000 pilot scanner, is being developed for chipmakers so that they may learn how to efficiently use High-NA EUV technology. Following those R&D efforts, high volume manufacturing of chips using High-NA scanners expected to commence in 2025, when ASML begins shipping the commercial-grade Twinscan EXE:5200 scanner.”

Compared with the 0.33 NA optics in current EUV systems, High-NA will greatly reduce the resolution limit, enabling “geometric chip scaling well into the next decade.” A critical technology for enabling nodes below 2nm/20A, it raises the bar for China’s lithography equipment developers.

Moreover, Intel announced on September 18 the successful development of its first glass substrates for the next-generation advanced packaging it plans to introduce in the second half of the decade. Intel explains the significance of this development as such:

“As the demand for more powerful computing increases and the semiconductor industry moves into the heterogeneous era that uses multiple ‘chiplets’ in a package, improvements in signaling speed, power delivery, design rules and stability of package substrates will be essential. Glass substrates possess superior mechanical, physical and optical properties that allow for more transistors to be connected in a package, providing better scaling and enabling assembly of larger chiplet complexes (called ‘system-in-package’) compared to organic substrates in use today. Chip architects will have the ability to pack more tiles – also called chiplets – in a smaller footprint on one package, while achieving performance and density gains with greater flexibility and lower overall cost and power usage.”

Compared with the organic packaging materials (epoxy resin, etc.) used today, “glass offers distinctive properties such as ultra-low flatness and better thermal and mechanical stability, resulting in much higher interconnect density in a substrate.”

“By the end of the decade, the semiconductor industry will likely reach its limits on being able to scale transistors on a silicon package using organic materials, which use more power and include limitations like shrinkage and warping. Scaling is crucial to the progress and evolution of the semiconductor industry, and glass substrates are a viable and essential next step for the next generation of semiconductors.”

They will be used first in data center, AI and graphics applications.

Meanwhile, Intel is working with the Universal Chiplet Interconnect Express (UCle), a diverse international consortium of which it was one of the founding members in March 2022.

US chipmaker is collaborating with various tech companies on the UCle project. Image: Twitter Screengrab

The idea behind the UCle specification is to provide an open standard ubiquitous package level interconnect allowing system-on-chip (SoC) designers to combine chiplets from different suppliers, addressing “customer requests for more customizable package-level integration, connecting best-in-class die-to-die interconnect and protocols from an interoperable, multi-vendor ecosystem.”

The Merriam-Webster dictionary definition of “chiplet” is “a small modular integrated circuit component that is designed to provide a specific function.”

UCle now has more than 120 members, including founding board members AMD, Arm, ASE, Google Cloud, Intel, Meta, Microsoft, Qualcomm, Samsung and TSMC, additional board members Alibaba and Nvidia, and dozens of contributor members including Advantest, Applied Materials, Beijing Stream Computing, Bosch, Cadence, Ericsson, Global Foundries, IBM, imec, Keysight, Juniper Networks, Mercedes-Benz, Micron, MediaTek, Shanghai UniVista, Siemens, SK Hynix, Synopsis, Teradyne, Tongfu Microelectronics, Xi’an UniIC Semiconductors, UNISOC, VeriSilicon and Xspeedic.

The presence of IC designers and manufacturers, electronic design automation suppliers, semiconductor production and test equipment makers, a leading research institution, cloud service and software providers, telecom equipment makers and other manufacturing companies from around the world – including several Chinese companies – demonstrates the collaborative direction of what Intel calls the “Siliconomy.”

It is directly opposite to the fragmenting, supply chain-cutting, subsidizing and nationalistic bent of US politicians.

Follow this writer on Twitter: @ScottFo83517667

Continue Reading

‘It’s like a thrill’: More wildlife sightings in Singapore due to habitat change, people seeking out animals

INTEREST PEAKED DURING COVID-19, BUT HAS DIPPED

Another reason for the increase in sightings could be one Singaporeans have heard before – the pandemic. 

Like Ms Tsang, Mr Kwan saw a spike in interest in local nature walks during the COVID-19 period. Demand has since eased, but the guide still sees a substantial number of queries from schools, companies and community organisations.

ACRES’ Mr Kalai Vanan said the non-profit organisation was “surprised” by the number of calls it received during the pandemic. 

“We thought that we didn’t have to rescue because if people were not walking around, nobody’s going to see animals in distress. But we realised it’s the other way around.

“More people were calling us, which is strange because people couldn’t travel anywhere so people started roaming around. Naturally, with more people doing that, they’re going to find more injured animals, more stranded animals and that sparked the interest.”

People developed interests and hobbies that took them to nature, like hiking, cycling and photography. 

A downside to that was that people start getting too close to the animals, Mr Kalai Vanan said, cautioning against disturbing wildlife. 

Ms Tsang has seen people turn to her Facebook page for help when they glimpse an animal for the first time. 

“It’s also a social need. Sometimes when you see an animal yourself, you don’t know whether other people have seen it, you want to confirm … it’s really a tapir you saw,” Ms Tsang said. 

“We don’t know as much as the experts do, so we ask for more information online.”

Similarly, those who spot “rare” animals also approach NParks. 

“Community stewardship and engagement also play a key role in supporting NParks’ biodiversity management and monitoring efforts. Some of these ‘rare’ wildlife sightings were made known to NParks through feedback submitted by volunteers and the public,” NParks’ Mr Lee said. 

Those who actively seek out animals, like Dr Woo, are driven by their passion for seeing animals in their natural habitat. 

“I always thought that you only see such wildlife overseas. You need to go overseas, make a trip to one of the jungles overseas. 

“But in recent years I realise that you don’t really have to go overseas. You get to see wildlife in Singapore as well.”

Continue Reading